LOCK_PINS - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

LOCK_PINS はセル プロパティで、論理 LUT 入力 (I0、I1、I2、…) と LUT 物理入力ピン (A6、A5、A4、…) のマップを指定します。

通常、タイミング クリティカルな LUT 入力を高速の A6 および A5 物理 LUT 入力にマップするために使用されます。

LOCK_PINS 制約の例 1

次の例では、I1 を A6 に、I0 を A5 にマップしています (デフォルトのマップをスワップ)。


% set myLUT2	[get_cells u0/u1/i_365]
% set_property LOCK_PINS {I0:A5 I1:A6} $myLUT2
# Which you can verify by typing the following line in the Tcl Console:
% get_property LOCK_PINS $myLUT2

LOCK_PINS 制約の例 2

次の例では、LUT6 の I0 を A6 にマップしています。I1 ~ I5 のマップは固定されません。

% set_property LOCK_PINS I0:A6 [get_cell u0/u1/i_768]