-reset_path を使用した例外制約の優先順位の例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語
>	set_false_path -from [get_clocks clkA] -to [get_clocks clkB]
>	set_max_delay 1 -from [get_clocks clkA] -to [get_clocks clkB] -reset_path

クロック clkA および clkB 間のパスに、パス要件が 1 ns の最大遅延が付きます。最大遅延は、-from/-to と同じ引数を使用して定義され、-reset_path を指定していると、フォルス パスよりも優先されるようになります。

>	set_false_path -from [get_clocks clkA] -to [get_clocks clkB]
>	set_max_delay 1 -from [get_pins reg0/CLK] -to [get_pins reg1/D] -reset_path

reg0/CLKreg1/D 間のパスには、その制約の優先順位が最大遅延よりも高いため、フォルス パスになります。-reset_path-from/-to と同じ引数で定義されていないので、その有無に関係なく最大遅延がフォルス パスよりも優先されることはありません。

制約にオブジェクトではなく文字列が渡される場合は、Tcl インタープリターは次の順序でオブジェクトで文字列を検索します。

  1. ポート
  2. ピン
  3. セル
  4. ネット

検索はすべてのオブジェクトに対して実行されるわけではなく、あるタイプで文字列パターンに一致するオブジェクトが見つかると、リストの後の方にある別のタイプのオブジェクトに同じ文字列パターンに一致するオブジェクトがあっても、最初に見つかったタイプのオブジェクトが返されます。