set_bus_skew Example Two - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

この例では、CDC はグレイ コード バス上にあります。このシステムでは、グレイ コード バスの 1 つの遷移のみがデスティネーション クロック ドメインで同時にキャプチャされるようにする必要があります。

ソース クロック周期が 5 ns でデスティネーション クロック周期が 2.5 ns である場合、CDC パスのバス スキューは 2.5 ns (クロック周期) に設定する必要があります。

set_bus_skew -from [get_cells src_gray_ff_reg*] -to [get_cells {dest_graysync_ff_reg[0]*}] 2.500
図 1. set_bus_skew Example Two

注記: この CDC を完全なものにするため、set_max_delay 制約を追加してソース レジスタとデスティネーション レジスタが遠くに配置されすぎないようにする必要があります。この場合、CDC は低速クロックと高速クロックの間にあり、バスの 1 つの遷移のみがデスティネーション クロック ドメインでキャプチャされるようにする必要があるため、最大遅延をソース クロック周期に設定します。
set_max_delay -datapath_only -from [get_cells src_gray_ff_reg*] -to [get_cells
{dest_graysync_ff_reg[0]*}] 5.000