その他のクロックのばらつき - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

異なるコーナー、遅延、特定のクロック関係に対して追加のクロックのばらつきを定義するには、set_clock_uncertainty コマンドを使用します。これは、タイミングの観点から、デザインの部分にゆとりをもたせる便利な方法です。

制約の順序にかかわらず、クロック間のばらつきが単純なクロックのばらつきよりも優先されます。次の例では、クロック clk1 にクロックのばらつき 1.0 ns が後に設定されていますが、クロック clk1 から clk2 へのタイミング パスにクロックのばらつき 2.0 ns が設定されています。

set_clock_uncertainty 2.0 -from [get_clocks clk1] -to [get_clocks clk2] set_clock_uncertainty 1.0 [get_clocks clk1]

クロック間のばらつきを 2 つのクロック ドメイン間で定義する際は、次のようにクロック ドメインで可能性のあるすべての相互関係を含めるようにしてください。

  • clk1 から clk2
  • clk2 から clk1