インプリメンテーション制約の作成 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

合成済みネットリストが生成されたら、インプリメンテーションに適用する XDC ファイルまたは Tcl スクリプトと共にメモリに読み込みます。XDC を読み込むとメッセージが表示されるので、そのメッセージに従って制約を確認し、適用できない制約を修正してください。

合成済みネットリストのオブジェクト名が、エラボレート済みデザインの名前と異なる場合があります。その場合は、正しい名前で制約を作成し直し、インプリメンテーションのみの XDC ファイルに保存します。

すべての XDC ファイルが正しく読み込まれたら、次の目的でタイミング解析を実行できます。

  • 入力遅延および出力遅延などの不足している制約を追加。
  • フォルス パス、マルチサイクル パス、最小/最大遅延制約などのタイミングの例外を追加。
  • パスが長いことが原因で大きな違反が発生しているものを特定し、RTL 記述を修正。

合成に使用したのと同じ基本制約を使用し、インプリメンテーション専用の新しい制約を保存する 2 つ目の XDC ファイルを作成できます。物理制約およびコンフィギュレーション制約を別の XDC ファイルに保存することも可能です。

注記: プロジェクト モードでは、合成済みデザインを開くと、合成後の DCP からのネットリストがリンクされ、最上位階層ネットリストが構築されます。インプリメンテーション用にマークされた XDC ファイルもすべて自動的に読み込まれます。これにより、完全に合成済みのデザインでインプリメンテーション制約を確認できます。インプリメンテーション制約を変更すると、開いている合成済みデザインは最新の状態ではなくなりますが、合成済み run は最新の状態のままです。GUI のバナーにデザインを読み込み直すオプションが表示されます。

図 1 に、ネットリスト ベースの XDC の作成手順を示します。