システム ジッター - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

システム ジッターは、電源ノイズ、ボード ノイズ、またはシステムのその他のジッターによる全体的なジッターです。

デザイン全体、つまりすべてのクロックに対して 1 つの値のみを設定するには、set_system_jitter コマンドを使用します。

次のコマンドは、入力ポート clkin を介して伝搬されるプライマリ クロックに +/-100 ps のジッターを設定します。

set_input_jitter [get_clocks -of_objects [get_ports clkin]] 0.1
注記: 全体的なクロックのばらつきの算出における入力ジッターおよびシステム ジッターの影響は、些細なものではなく、1 つの式に従いません。クロックのばらつきの算出はパスによって異なり、クロック トポロジ、パスに関連するクロック ペア、クロック ツリーに MMCM/PLL が存在するかどうか、およびその他の考慮事項に依存します。[Report Timing] コマンドのテキストおよび GUI レポートには、各タイミング パスのクロックのばらつきの内訳が示されます。