タイミング制約 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

タイミング制約は、XDC ファイルを使用して合成エンジンに渡す必要があります。セットアップ解析に関する次の制約のみが、合成結果に実際に影響します。

  • create_clock
  • create_generated_clock
  • set_input_delay
  • set_output_delay
  • set_clock_groups
  • set_false_path
  • set_max_delay
  • set_multicycle_path