ユーザー定義の生成クロック - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

ユーザー定義の生成クロックは、次のようなクロックです。

  • create_generated_clock コマンドで定義されている。
  • ネットリスト オブジェクト (理想的にはクロック ツリーのルート ピン) に接続されている。

-source オプションを使用してマスター クロックを指定します。このオプションには、マスター クロックが伝搬されるピンまたはポートを指定します。一般的には、マスター クロックの起点または生成クロックのソース セルの入力クロック ピンが使用されます。

重要: -source オプションには、ピンまたはポートのネットリスト オブジェクトのみを指定します。クロック オブジェクトは指定できません。