例 1: 単純な 2 分周 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

プライマリ クロック clkin の周期は 10 ns です。このクロックはレジスタ REGA で 2 分周され、ほかのレジスタのクロック ピンを駆動します。対応する生成クロックは clkdiv2 と呼ばれます。

この生成クロックを指定する 2 つの例を次に示します。

create_clock -name clkin -period 10 [get_ports clkin]

# Option 1: master clock source is the primary clock source point create_generated_clock -name clkdiv2 -source [get_ports clkin] -divide_by 2 \
[get_pins REGA/Q]

# Option 2: master clock source is the REGA clock pin
create_generated_clock -name clkdiv2 -source [get_pins REGA/C] -divide_by 2 \ [get_pins REGA/Q]
図 1. 生成クロックの例 1