例 2: -edges オプションを使用した 2 分周 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

-divide_by オプションの代わりに -edges オプションを使用すると、マスター クロックのエッジに基づいて生成クロックの波形を定義できます。引数はマスター クロック エッジのインデックスのリストで、生成クロックのエッジの時間位置を立ち上がりクロック エッジから順に定義します。

次の例では、例 1: 単純な 2 分周で定義した生成クロックと同じものを定義しています。

# waveform specified with -edges instead of -divide_by
create_generated_clock -name clkdiv2 -source [get_pins REGA/C] -edges {1 3 5} \ [get_pins REGA/Q]