出力遅延オプションの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

-clock は、SDC 標準ではオプションですが、Vivado Design Suite ツール では必須です。

相対クロックは、デザイン クロックまたは仮想クロックのいずれかにできます。

出力遅延コマンドには、次のオプションがあります。