制約の適用範囲の確認 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

タイミング制約を記述する際は、制約を簡潔なものにし、関連のネットリスト オブジェクトのみに設定することが重要です。非効率な制約を設定すると、実行時間が長くなり、メモリ消費量も大きくなります。また、タイミング例外が予測以上に多くのパスに適用されてほかの制約と競合し、デザインが不適切に制約される結果となることがあります。

タイミング制約を効率的なものにするには、目的のタイミング パスを正確に安全に制約するために指定するオブジェクト数をできるだけ少なくします。オブジェクトのリストはピンまたはセルの名前パターンから取得されることがほとんどなので、最大の効率を得ることはできませんが、タイミング例外用のオブジェクトをリストする際は、オブジェクト数をできるだけ少なくすることを目指してください。

Vivado では、タイミング例外を複数の方法でチェックできます。

  • 設計手法チェック XDCB-1 (report_methodology): 多数 (> 1000) のオブジェクトを参照するタイミング制約をレポートします。
  • [Report Exception] コマンド (report_exceptions): 定義されたタイミング例外の適用範囲および競合の情報をレポートします。

AMD では、次のレポートを注意深く解析することをお勧めします。

  • report_exceptions -scope_override

    このレポートは、最上位のタイミング制約が部分的または完全に上書きする範囲ありのタイミング制約をリストします。ただし、別の範囲ありの制約 (同じ範囲からか別の範囲から) で上書きされた範囲ありの制約はレポートされません。たとえば、このオプションを使用すると、IP 制約が一部のユーザーの最上位制約によって上書きされていないことを確認できます。

  • report_exceptions –coverage

    このレポートは、各タイミング例外の論理パスの適用範囲を示します。タイミング例外に渡されるオブジェクトの数が、実際に制約が適用される始点と終点の数と比較されます。オブジェクトの数と始点/終点の数が大幅に異なる制約は、見直す必要があります。

  • report_exceptions –ignored

    このレポートは、ほかのタイミング制約が優先されたために無効になったタイミング制約をレポートします (set_false_pathset_clock_group により無効になるなど)。無効になった制約が正しいかを確認し、不要な制約は削除する必要があります。

  • report_exceptions –ignored_objects

    このレポートは、始点からのパスまたは終点へのパスが存在しないなどの理由で無視された始点および終点をリストします。