生成クロックについて - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

生成クロックは、MMCM などのクロック調整ブロックと呼ばれる特別なセルまたはユーザー ロジックにより駆動されます。

生成クロックは、マスター クロックに関連付けられています。create_generated_clock コマンドには、マスター クロックの始点を指定します。マスター クロックには、プライマリ クロックまたはほかの生成クロックを指定できます。

生成クロックのプロパティは、マスター クロックから直接派生します。周期または波形を指定するのではなく、調整回路がマスター クロックをどのように変換するかを記述する必要があります。

マスター クロックと生成クロックの関係は、次のいずれかで定義できます。

  • 単純な周波数の分周
  • 単純な周波数の逓倍
  • 周波数の分周と逓倍の組み合わせて整数以外の比を生成 (通常 MMCM または PLL を使用)
  • 位相シフトまたは波形の反転
  • デューティ サイクルの変換
  • 上記すべての組み合わせ
注記: 生成クロックのレイテンシを算出するため、生成クロックのソース ピンとマスター クロックのソース ピンの間のシーケンシャル パスおよび組み合わせパスがトレースされます。生成クロックのレイテンシを算出するのに、組み合わせパスのみをトレースする方が望ましいこともあります。その場合は、-combinational オプションを使用します。