自動派生クロック - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

自動派生クロックは、自動生成クロックとも呼ばれます。Vivado IDE では、関連付けられているマスター クロックが既に定義されている場合、クロック調整ブロック (CMB) の出力ピンに自動的に制約が作成されます。

AMD 7 シリーズ デバイス ファミリの CMB は次のとおりです。

  • MMCM*/PLL*
  • BUFR
  • PHASER*

AMD UltraScale™ デバイス ファミリの CMB は次のとおりです。

  • MMCM*/PLL*
  • BUFG_GT/BUFGCE_DIV
  • GT*_COMMON/GT*_CHANNEL/IBUFDS_GTE3
  • BITSLICE_CONTROL/RX*_BITSLICE
  • ISERDESE3

同じネットリスト オブジェクト (同じ定義点 (ネットまたはピン)) に既にユーザー定義クロック (プライマリまたは生成クロック) が定義されている場合は、クロックは自動生成されません。自動派生クロックには、定義点に接続されているネットの最上位セグメント名に基づいた名前が付けられます。