自動派生クロックの例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

次に、MMCM により生成されたクロックの例を示します。

マスター クロック clkin は、MMCME2 インスタンス clkip/mmcm0 の CLKIN 入力を駆動します。自動生成クロックの名前は cpuClk、その定義点は clkip/mmcm0/CLKOUT です。

図 1. 自動生成クロックの例

ヒント: 自動生成クロックの名前がわからない場合は、get_clocks -of_objects <pin/port/net> コマンドを使用してクエリします。このコマンドは、クロック名の変更にかかわらず、制約またはスクリプトで使用できます。