自動生成クロックの名前の変更 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

ツールで自動生成されたクロックの名前は変更できます。これには、create_generated_clock コマンドでいくつかのオプションを指定します。

create_generated_clock -name new_name [-source master_pin] [-master_clock master_clk] source_object

必須の引数は、新しい生成クロックの名前と生成クロックのソース オブジェクトです。生成クロックのソース オブジェクトは、自動派生クロックが作成されたオブジェクト (CMB 出力ピン、UltraScale の場合は GT 出力ピンなど) です。-source および -master オプションは、ソース ピンを伝搬するクロックが複数ある場合に、明確にするために指定する必要があります。

重要: create_generated_clock コマンドに -edges/-edge_shift/-divide_by/-multiply_by/-combinational/-duty_cycle/-invert オプションのいずれかが渡された場合、生成されたクロックの名前は変更されません。指定の特性を持つクロックが新たに生成されます。
重要: モジュール (IP/BD/DFx/...) がアウト オブ コンテキストで合成されると、そのモジュールは最上位が合成される際にブラック ボックとして推論され、モジュールの内部ピンおよびクロック名にアクセスできなくなります。この場合、合成に使用される最上位 XDC 制約は、クロック名を参照したり、モジュール内で生成される自動派生クロックの名前を変更したりすることはできません。OOC 合成では、最上位タイミング制約は、これらのクロックを伝搬するモジュール ポートを介して OOC クロックに指定する必要があります。これは ‘get_clocks -of_objects [get_pins <OOC_MODULE_OUTPUT_CLOCK_PORT>] のようなクエリを使用すると実行できます。インプリメンテーションに使用される XDC 制約の場合、XDC 制約が適用される前にデザイン全体が再構築されるため、この制限はありません。