非プロジェクト フロー - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

非プロジェクト モードでは、コンパイル コマンドを実行する前に各ファイルを読み込む必要があります。

次に、合成およびインプリメンテーションで複数の XDC ファイルを使用するスクリプト例を示します。

スクリプト例:

read_verilog [glob src/*.v] 
read_xdc wave_gen_timing.xdc 
read_xdc wave_gen_pins.xdc
synth_design -top wave_gen -part xc7k325tffg900-2 
opt_design
place_design 
route_design