AXI Register Slice を自動パイプライン モードで使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

AXI Register Slice IP コアは通常、タイミング クロージャを達成するため、メモリ マップド インターフェイスまたはストリーミング AXI インターフェイスの間にパイプライン レジスタを追加するために使用されます。大型デバイスでは、レジスタの使用率およびアプリケーション レイテンシを大幅に増加させずに適量のパイプラインを追加するのは簡単ではありません。パイプライン挿入タスクを単純化し、Vivado 配置がより柔軟に実行されるようにするため、AXI Register Slice IP コアの自動パイプライン最適化機能を使用できます。この機能をイネーブルにすると、フロアプランとグローバル配置段階の間に特別な物理合成段階が挿入され、セットアップ タイミング スラックおよび SLR の距離に基づいて追加のパイプライン段が配置されます。AXI Register Slice IP コアは、小型の FIFO を使用するためレイテンシを増加しますが、AXI ハンドシェイク プロトコルに準拠しています。

この機能は、[Customize IP] ダイアログ ボックスでイネーブルにします。[Register Slice Options] (REG_*) を [Multi SLR Crossing] に設定し、[Use timing-driven pipeline insertion for all Multi-SLR channels] を 1 に設定して自動パイプラインをイネーブルにします。次の図に例を示します。

図 1. AXI Register Slice IP の自動パイプライン機能をイネーブルにする設定例