BUFG 最適化 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

link_design の最初に実行される必須ロジック最適化 (MLO) では、グローバル クロック バッファーを挿入するために CLOCK_BUFFER_TYPE プロパティを使用できます。設定可能な値は、7 シリーズの場合は BUFG、UltraScaleAMD UltraScale+™ 、および Versal デバイスの場合は BUFG および BUFGCE です。NONE はすべてのアーキテクチャで設定でき、MLO および opt_design によるグローバル クロック バッファーの挿入が実行されなくなります。BUFG および BUFGCE に設定すると、ロジック最適化で指定のネットを駆動するため該当するバッファー タイプが挿入されます。

CLOCK_BUFFER_TYPE を使用すると、XDC 制約を使用してバッファー挿入を制御できるので、デザイン ソースやネットリストを修正する必要がありません。CLOCK_BUFFER_TYPE を使用して挿入されるバッファー数には制限がないため、デザインに含まれるグローバル クロックが多くなりすぎ、配置エラーの原因となることがあるので、使用には注意が必要です。詳細は、 『Vivado Design Suite プロパティ リファレンス ガイド』 (UG912) を参照してください。