RTL ソースから開始 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

Vivado インプリメンテーションを実行するには、合成済みネットリストが必要です。デザインは合成済みネットリストから、または RTL ソース ファイルから開始できます。

重要: RTL ソースから開始する場合は、インプリメンテーションの前に Vivado 合成を実行する必要があります。Vivado IDE では、これが自動的に管理されます。合成されていないデザインをインプリメントしようとすると、まず合成を実行するかどうかを選択するオプションが表示されます。

Vivado 合成の詳細は、 『Vivado Design Suite ユーザー ガイド: 合成』 (UG901) を参照してください。