-directive オプションで指定可能な指示子 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語
Explore
詳細配置および配置後の最適化のエフォートを増加します。
WLDrivenBlockPlacement
RAM および DSP ブロックをワイヤ長に基づいて配置します。タイミング ドリブンの配置を無効にし、ブロックとの接続距離を最短にするよう配置します。RAM および DSP ブロックへのパスおよびこれらのブロックからのパスのタイミングを向上できます。
EarlyBlockPlacement
RAM および DSP ブロックをタイミング ドリブンに配置します。RAM および DSP ブロックの位置は、配置プロセスの早い段階で固められ、残りのロジックを配置するためのアンカーとして使用されます。
ExtraNetDelay_high
ファンアウトが大きく距離の長いネットの見積もり遅延を増加します。place_design コマンドの後にはタイミングが満たされていたが、過剰に良く見積もられた遅延のために route_design コマンドの後タイミングが満たされなくなったクリティカル パスのタイミングを向上できます。high および low の 2 つのレベルがサポートされます。ExtraNetDelay_high では増加量が最も大きくなります。
ExtraNetDelay_low
ファンアウトが大きく距離の長いネットの見積もり遅延を増加します。place_design コマンドの後にはタイミングが満たされていたが、過剰に良く見積もられた遅延のために route_design コマンドの後タイミングが満たされなくなったクリティカル パスのタイミングを向上できます。high および low の 2 つのレベルがサポートされます。ExtraNetDelay_low では増加量が最も小さくなります。
SSI_SpreadLogic_high
密集した領域が作成されないように、ロジックを SSI デバイス全体に分散します。high および low レベルがサポートされます。SpreadLogic_high では分散度が最も高くなります。
SSI_SpreadLogic_low
密集した領域が作成されないように、ロジックを SSI デバイス全体に分散します。high および low レベルがサポートされます。SpreadLogic_low では分散度が最も低くなります。
AltSpreadLogic_high
密集した領域が作成されないように、ロジックをデバイス全体に分散します。high、medium、low の 3 つのレベルがサポートされます。AltSpreadLogic_high では分散度が最も高くなります。
AltSpreadLogic_medium
密集した領域が作成されないように、ロジックをデバイス全体に分散します。high、medium、low の 3 つのレベルがサポートされます。AltSpreadLogic_medium では分散度が中程度になります。
AltSpreadLogic_low
密集した領域が作成されないように、ロジックをデバイス全体に分散します。high、medium、low の 3 つのレベルがサポートされます。AltSpreadLogic_low では分散度が最も低くなります。
ExtraPostPlacementOpt
配置後の最適化のエフォートを増加します。
ExtraTimingOpt
後のステージ中、タイミング ドリブンの配置に代わりのアルゴリズム セットを使用します。
SSI_SpreadSLLs
SLR 間で分割を実行し、接続の多い領域に追加のエリアを割り当てます。
SSI_BalanceSLLs
SLR 間で SLL のバランスが取られるように SLR 間で分割を実行します。
SSI_BalanceSLRs
SLR 間でセルの数のバランスが取られるように SLR 間で分割を実行します。
SSI_HighUtilSLRs
各 SLR でロジックを近くに配置するよう指定します。
RuntimeOptimized
反復回数を少なくし、デザイン パフォーマンスよりも実行時間を短縮することを優先します。
Quick
有効なデザインを得るために、最も高速な、タイミング ドリブンでない、最低限の配置を実行します。
Default
place_design をデフォルト設定で実行します。
RQS
report_qor_suggestion ストラテジ推奨項目で指定した指示子が place_design で選択されるようにします。この指示子を呼び出す前に、ストラテジ推奨項目を含む RQS ファイルを読み出す必要があります。