open_checkpoint - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

open_checkpoint コマンドを使用すると、デザイン チェックポイント ファイル (DCP) が開き、新しいインメモリ プロジェクトが作成されて、新しいプロジェクトがチェックポイントの内容で初期化されます。このコマンドでは、最上位デザイン チェックポイントまたは独立階層 (OOC) モジュールに対して作成されたチェックポイントを開くことができます。

注記: これまでのリリースでは、チェックポイント デザインを読み込んで初期化するのに read_checkpoint コマンドを使用しました。バージョン 2014.1 以降では、これに open_checkpoint コマンドを使用します。read_checkpoint コマンドの動作は変更されており、チェックポイント ファイルがソース ファイルのリストに追加されるだけです。この動作は、read_verilogread_vhdlread_xdc などのほかの read コマンドと同じです。link_design コマンドを使用した場合、デザインを初期化し、メモリに読み込むために read_checkpoint コマンドも実行する必要があります。

チェックポイントを開く場合、あらかじめプロジェクトを作成する必要はありません。open_checkpoint コマンドは、デザイン データをメモリに読み込み、デザインを非プロジェクト モードで開きます。プロジェクト モードおよび非プロジェクト モードの詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン フローの概要』 (UG892) の「プロジェクト モードと非プロジェクト モードの理解」を参照してください。

重要: インクリメンタル コンパイル フローでは、これまでと同様に read_checkpoint コマンドを使用して基準デザイン チェックポイントを指定します。

open_checkpoint の構文

open_checkpoint	[-part <arg>] [-quiet] [-verbose] <file>

open_checkpoint のスクリプト例

# Read the specified design checkpoint and create an in-memory design. 
open_checkpoint C:/Data/post_synth.dcp

この open_checkpoint サンプル スクリプトでは、合成済みデザイン チェックポイント ファイルが開きます。