phys_opt_design - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

phys_opt_design コマンドは、デザインに対して物理最適化を実行します。配置後に配置後モードで、またはデザインを完全に配線した後に配線後モードで実行できます。

phys_opt_design の構文

phys_opt_design  [-fanout_opt] [-placement_opt] [-routing_opt]
                 [-slr_crossing_opt] [-insert_negative_edge_ffs]
                 [-restruct_opt] [-interconnect_retime] [-lut_opt] [-casc_opt]
                 [-cell_group_opt] [-critical_cell_opt] [-dsp_register_opt]
                 [-bram_register_opt] [-uram_register_opt] [-bram_enable_opt]
                 [-shift_register_opt] [-hold_fix] [-aggressive_hold_fix]
                 [-retime] [-force_replication_on_nets <args>]
                 [-directive <arg>] [-critical_pin_opt] [-clock_opt]
                 [-path_groups <args>] [-tns_cleanup] [-sll_reg_hold_fix]
                 [-quiet] [-verbose]
注記: -tns_cleanup オプションは、-slr_crossing_opt オプションと一緒に使用している場合にのみ実行できます。

phys_opt_design のスクリプト例

open_checkpoint top_placed.dcp

# Run post-place phys_opt_design and save results 
phys_opt_design
write_checkpoint -force $outputDir/top_placed_phys_opt.dcp report_timing_summary -file $outputDir/top_placed_phys_opt_timing.rpt

# Route the design and save results 
route_design
write_checkpoint -force $outputDir/top_routed.dcp 
report_timing_summary -file $outputDir/top_routed_timing.rpt

# Run post-route phys_opt_design and save results 
phys_opt_design
write_checkpoint -force $outputDir/top_routed_phys_opt.dcp report_timing_summary -file $outputDir/top_routed_phys_opt_timing.rpt

この phys_opt_design サンプル スクリプトでは、配置後および配線後の両方で物理最適化が実行されます。まず、配置済みデザインがチェックポイントから読み込まれ、配置後の phys_opt_design が実行されます。チェックポイントおよびタイミングの結果が保存されます。次にデザインが配線され、保存されます。その後、配線後の phys_opt_design が実行され、結果が保存されます。配置後および配線後の物理最適化の両方で、同じ phys_opt_design コマンドが使用されます。モードを指定するためにオプションを使用する必要はありません。