phys_opt_design によるネットリスト変更を配置前に組み込む - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

次の図に、物理最適化の変更を配置前のネットリストに組み込むデザイン フローを示します。

図 1. 物理最適化の変更を配置前のネットリストに組み込むデザイン フロー

2 つの run があり、元の run (Original Run) では、phys_opt_design の後に place_design を実行します。再実行 run (Replay Run) では、配置の前に phys_opt_design を実行します。

元の run の後、Tcl コマンド phys_opt_design を使用して、write_iphys_opt_tcl の最適化を Tcl スクリプト ファイルに保存します。このスクリプトには一連の iphys_opt_design Tcl コマンドが含まれており、元の run で phys_opt_design により実行されたデザインの変更を再実行します。最適化はメモリ内の現在のデザインから保存するか、phys_opt_design で最適化が実行されたインプリメント済みデザインまたはチェックポイントを開いて保存できます。

再実行 run にも、同じデザインと制約を使用します。place_design を実行する前に、read_iphys_opt_tcl コマンドで iphys_opt_design コマンド スクリプトを実行し、元の run からのネットリストの変更を適用します。このネットリストの変更により、再実行 run のデザインが元の run よりもより配置に適したものになる可能性があります。複製によるファンアウトの大きいネットの削減、ブロック RAM 出力からの長いパスの削減など、phys_opt_design 最適化を配置前に組み込むことができます。

phys_opt_design コマンドと同様に、read_iphys_opt_tcl コマンドにも、ファンアウト最適化、ブロック RAM レジスタの最適化、リワイヤなど、再実行する最適化のタイプを指定するオプションがあります。