phys_opt_design のデザイン変更を繰り返す - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

次の図に、phys_opt_design のデザイン変更を繰り返すデザイン フローを示します。

図 1. phys_opt_design の変更を繰り返すデザイン フロー

このフローは、最適化による変更を配置前に組み込むフローとは次の 2 つの点が異なります。

  • iphys_opt_design の変更は place_design の後に組み込まれます。
  • 配置の変更およびネットリストの変更は、iphys_opt_design Tcl スクリプトに記述されます。

このフローは通常、配置後の phys_opt_design の実行をより詳細に制御するために使用します。最適化の繰り返しおよび新しい最適化の組み合わせからカスタム処理が作成され、デザイン クロージャを達成するためのさまざまな方法を試すことができます。

write_iphys_opt_tcl および read_iphys_opt_tcl コマンドには、-place による配置の変更を再実行する phys_opt_design オプションがあります。このフローでは、このオプションを使用して phys_opt_design を配置後に繰り返します。