place_design - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

place_design コマンドはデザインを配置します。ほかのインプリメンテーション コマンドと同様、place_design コマンドはインクリメンタルに実行されます。部分的に配置されているデザインでは、Vivado 配置は最初から配置し直さず、既存の配置が開始点として使用します。

place_design の構文

place_design    [-directive <arg>] [-no_timing_driven] [-timing_summary] 
					[-unplace] [-post_place_opt] [-no_psip] [-no_bufg_opt] [-ultrathreads]
					[-quiet] [-verbose]

place_design のスクリプト例

# Run placement, save results to checkpoint, report timing estimates
place_design
write_checkpoint -force $outputDir/post_place
report_timing_summary -file $outputDir/post_place_timing_summary.rpt

この place_design サンプル スクリプトは、インメモリ デザインを配置して、配置後にデザイン チェックポイントを保存し、タイミング サマリ レポートを生成して指定のファイルに記述します。