インクリメンタル インプリメンテーションの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

プロジェクト モードと非プロジェクト モードのどちらでも、read_checkpoint -incremental <dcp_file> コマンド (<dcp_file> は基準デザイン チェックポイントのパスとファイル名) を使用して基準デザイン チェックポイントを読み込むと、インクリメンタル インプリメンテーション モードになります。-incremental オプションを使用して基準デザイン チェックポイントを読み込むと、次の配置配線でインクリメンタル コンパイル デザイン フローがイネーブルになります。非プロジェクト モードでは、read_checkpoint -incrementalopt_design の後および place_design の前に実行します。