インクリメンタル指示子 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

インクリメンタル フローの動作を制御する指示子は 3 つあります。インクリメンタル指示子は、次のコマンドを使用して設定します。

read_checkpoint -incremental -directive <directiveName> <reference>.dcp

RuntimeOptimized

RuntimeOptimized は、基準 run からの配置配線情報をできるだけ再利用しようとする指示子です。タイミング ターゲットは、基準 run と同じになります。基準 run が WNS -0.050 の場合、インクリメンタル run ではこのデザインに対してタイミング クロージャを実行しようとせず、-0.050 をターゲットにします。これはセットアップ時間にのみ影響します。これが、指示子が何も指定されない場合のデフォルト動作です。

TimingClosure

TimingClosure 指示子は、基準 run からの配置配線を再利用しますが、タイミングを満たさないパスの配線は解除してタイミング クロージャを達成しようとします。ランタイムを重要視するアルゴリズムの中には、できるだけタイミング改善を求めるために実行されるものもありますが、配置の点ではかなり妥協されるので、あまり利点はありません。この手法は、WNS が -0.250 ns より大きいデザインで効果的です。

注記: さらにタイミング クロージャが可能かどうかは、report_qor_suggestions を実行して、デザイン改善点を自動で生成して確認します。

Quick

Quick は、配置配線中にタイマーを呼び出さない特殊なモードで、ガイドとして関連ロジックの配置が使用されます。最も高速なモードですが、ほとんどのデザインに適用できません。この方法が効率的なのは、WNS > 1.000 ns の場合で、通常は ASIC エミュレーションまたはプロトタイプ デザインです。

注記: 2019.1 以前のバージョンでは、同じ動作が place_design および route_design 時点でマップされる指示子を使用して達成されていました。Explore 指示子は TimingClosure に、Quick は Quick に、その他の指示子は RuntimeOptimized にマップされました。
注意:
2019.1 以前のバージョンで Explore または Quick を使用していてツールをアップグレードする場合、2020.1 で同等の機能を達成するには、インクリメンタル指示子を指定する必要があります。