インクリメンタル配置配線の実行 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

インクリメンタル デザインをメモリに読み込み、read_checkpoint -incremental <dcp> コマンドを使用して基準デザイン チェックポイントを読み込むと、インクリメンタル フローが開始されます。Vivado に基準チェックポイントが読み込まれると、次が実行されます。

  • インクリメンタル デザインで基準 run と一致する物理最適化が自動的に実行されます。
  • インクリメンタル デザインのネットリストが基準デザインと比較され、一致するセルおよびネットが検出されます。
  • 基準デザイン チェックポイントからの配置を使用して、インクリメンタル デザインの一致するセルが配置されます。
  • 基準デザイン チェックポイントからの配線は、一致ネットを配線するためにロード ピンごとに再利用されます。ネットリストの変更によりロード ピンがなくなった場合、その配線は破棄されるので、配線の一部のみが再利用されることもあります。

初期に再利用された配置配線情報は、パフォーマンスや配線性の向上につながるのであれば、フロー全体で破棄できます。

基準デザインと現在のデザインの間で一致しないデザイン オブジェクトは、インクリメンタル配置が完了した後に配置され、配線が完了した後に配線されます。