インプリメンテーション コマンドについて - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

AMD Vivado Design Suite には、プロジェクト ベースのデザインでインプリメンテーション プロセスを管理し、簡略化する多数の機能が含まれています。インプリメンテーション プロセスを段階ごとに手動で実行する機能も含まれます。

詳細は、プロジェクト モードでのインプリメンテーションの実行 を参照してください。

非プロジェクト モードでは、Tcl コマンドを使用してインプリメンテーション プロセスの各段階を手動で実行する必要があります。

注記: Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照するか、「<command> -help」と入力してください。

詳細は、非プロジェクト モードでのインプリメンテーションの実行 を参照してください。