インプリメンテーション フローの開始 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

インプリメンテーション フローは通常、メモリに合成済みデザインを読み込んでから開始します。その後、インプリメンテーション フローを実行するか、デザインを解析してデザインと制約を調整し、アップデートしてからもう一度読み込みます。

合成済みデザインでインプリメンテーション フローを開始するには、次の 2 つの方法があります。

  • Vivado 合成を実行します。プロジェクト モードでは、合成 run に合成結果が含まれ、これらの結果が自動的にインプリメンテーション run の入力として使用されます。非プロジェクト モードでは、synth_design コマンドが完了した後、合成結果はメモリ内にあるので、そこからインプリメンテーションに進むことができます。
  • 合成済みネットリストを読み込みます。合成にサードパーティ ツールを使用した場合などは、合成済みネットリストを入力デザイン ソースとして使用できます。

インプリメンテーションを開始するには、次の手順に従います。

  • プロジェクト モードでは、インプリメンテーション run を実行します。
  • 非プロジェクト モードでは、スクリプトを実行するか、コマンドを対話的に実行します。

デザインを解析して制約を調整するには、インプリメンテーションを実行せずに合成済みデザインを読み込みます。

  • プロジェクト モードでは、Flow Navigator の [Open Synthesized Design] をクリックして、合成 run の結果である合成済みデザインを開きます。
  • 非プロジェクト モードでは、link_design コマンドを使用してデザインを読み込みます。

非プロジェクト モードでは、デザイン チェックポイント (.dcp) を使用することもできます。チェックポイントを開くと、デザインが読み込まれてそのチェックポイントの状態が復元されます。配置および配線データが含まれる場合もあります。これにより、配線済みデザインを読み込んで配線を変更したり、配置済みデザインを読み込んで、異なるオプションを使用して配線を複数回実行するなど、再配線インプリメンテーション フローを実行できます。