インプリメンテーションのサブプロセス - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

プロジェクト モードでは、インプリメンテーション コマンドは決まった順序で実行されます。非プロジェクト モードでは、コマンドは同様の順序で実行できますが、繰り返し実行したり、プロジェクト モードとは異なる順序で実行することもできます。

重要: インプリメンテーション コマンドは、再配線モードで実行されます。

インプリメンテーション コマンドは、再配線モードで実行されます。これは、インプリメンテーション コマンドを非プロジェクト モードで実行すると、デザインがメモリに読み込まれ、タスクが実行され、結果のデザインがメモリに書き込まれることを意味します。これにより、非プロジェクト モードでの実行がより柔軟なものになります。

例:

  • opt_design コマンドの後に opt_design -remap を実行

    リマップは opt_design コマンドの結果に対して実行されます。

  • 一部のセルが配置されたデザインに対して place_design コマンドを実行

    既存のセル配置が place_design コマンドの開始点として使用されます。

  • 一部が配線されたデザインに対して route_design コマンドを実行

    既存の配線が route_design コマンドの開始点として使用されます。

  • セルが配置されていないデザインに対して route_design コマンドを実行

    セルが配置されている必要があるので、エラーが発生します。

  • 完全に配置配線されていないデザインに対して opt_design コマンドを実行

    ロジック最適化で論理ネットリストが最適化され、配置されていない新しいセルおよび配線されていない新しいネットが作成される場合があります。インプリメンテーションを完了するため配置配線を再実行する必要がある場合があります。

プロジェクト モードおよび非プロジェクト モードのどちらでも、Vivado インプリメンテーション プロセスはいくつかのサブプロセスで構成されています。

合成済みデザインを開く
ネットリスト、デザイン制約、ターゲットの AMD パーツ データを統合し、インプリメンテーションを実行するインメモリ デザインを構築します。
デザインの最適化
ターゲットの AMD デバイスにフィットしやすいように論理デザインを最適化します。
デザインの消費電力最適化 (オプション)
ターゲットの AMD デバイスの消費電力を削減するようデザイン エレメントを最適化します。
デザインの配置
デザインをターゲット AMD デバイスに配置します。
配置後のデザインの消費電力最適化 (オプション)
配置後に消費電力を削減するよう追加の最適化を実行します。
配置後のデザインの物理最適化 (オプション)
配置に基づく見積もりタイミングを使用してロジックおよび配置を最適化します。ファンアウトの大きいドライバーの複製も含まれます。
デザインの配線
デザインをターゲット AMD デバイスに配線します。
配線後の物理最適化
配線後の実際の遅延を使用して、ロジック、配置、および配線を最適化します。
ビットストリームの生成
AMD デバイス コンフィギュレーション用のビットストリームを生成します (Versal デバイス以外)。
デバイス イメージの生成
Versal デバイスをプログラムするためのプログラマブル デバイス イメージを生成します。
注記: ビットストリームまたはデバイス イメージの生成は、厳密にはインプリメンテーション run の一部ではありませんが、別の段階として実行可能です。

この章では、インプリメンテーション プロセスの各段階と関連する Tcl コマンドの詳細を説明します。次の表に、サブプロセスと関連の Tcl コマンドをリストします。

表 1. インプリメンテーションのサブプロセスと関連の Tcl コマンド
サブプロセス Tcl コマンド
合成済みデザインを開く synth_design
open_checkpoint
open_run
link_design
デザインの最適化 opt_design
デザインの消費電力最適化 power_opt_design
デザインの配置 place_design
デザインの物理最適化 phys_opt_design
デザインの配線 route_design
ビットストリームの生成 (Versal 以外のすべてのデバイス) write_bitstream
デバイス イメージの生成 (Versal デバイス) write_device_image

Tcl レポート コマンドおよびそのオプションの詳細な説明は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照してください。