オブジェクト プロパティ - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

read_checkpoint -incremental コマンドを使用すると、次の 2 つのセル プロパティが設定され、スクリプトまたは Tcl コマンドを使用してインクリメンタル フロー結果を解析する際に有益です。

IS_REUSED
セル、ポート、ネット、およびピン オブジェクトのブール値プロパティで、次のインクリメンタル データが再利用された場合に TRUE に設定されます。
  • セル配置
  • ポートのパッケージ ピン割り当て
  • ネットの配線部分
  • ピンへの配線
REUSE_STATUS
セルおよびネットの文字列プロパティで、インクリメンタル配置配線後の再利用ステータスを示します。

セルの値は、次のいずれかです。

  • New (新規)
  • Reused (再利用)
  • Discarded placement to improve timing (タイミングを向上するため配置を破棄)
  • Discarded illegal placement due to netlist changes (ネットリストの変更による無効な配置を破棄)

ネットの値は、次のいずれかです。

  • REUSED
  • NON_REUSED
  • PARTIALLY_REUSED
IS_MATCHED
プリミティブ レベルのセルに設定するブール値プロパティです。このプロパティは、基準デザインの最下位セルと一致する最下位セルに対して TRUE に設定されます。一致するセルは、配置が再利用されます。
ヒント: AMDでは、XHUB の Incremental Compile パッケージに、いくつかのアプリケーションをパブリッシュしています。これらのアプリケーションには、クリティカル パスを解析する際の配置配線再利用の表示およびその他のデザイン ビューが含まれています。また、インクリメンタル デザイン run の基準チェックポイントを自動的に管理する、プロジェクト フロー用の自動インクリメンタル コンパイルのアプリケーションも含まれます。
ヒント: インクリメンタル コンパイルの効果的な使用方法は、 『FPGA および SOC 用 UltraFast 設計手法ガイド』 (UG949) の「インクリメンタル フロー」セクションを参照してください。