ネットリスト変更コマンド - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

次に、既存のネットリストを変更するコマンドを示します。

注記: これらの Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照するか、「<command> -help」と入力してください。

ネットリスト変更コマンドは、合成後、配置後、および配線後のネットリストに対して実行します。ネットリストを変更する前に、メモリに読み込んでおく必要があります。ネットリストをメモリに読み込んだら、ネットリスト変更コマンドを使用して論理的な変更を加えることができます。変更を保存するには、write_checkpoint コマンドを使用します。

ヒント: Vivado ツールでは、ネットリスト変更コマンドを使用してネットリストに無条件に変更を加えることができますが、論理的な変更により物理的なインプリメンテーションが無効になる可能性があります。ネットリストを変更したら、DRC を実行するようにしてください。また、DRC は、物理的なインプリメンテーションへ論理的な変更を追加するプロセスの一部として実行されます。これらの DRC により、無効なネットリストの変更や、物理的なインプリメンテーションの前に対処しておくべき物理的な制限がレポートされます。

ネットリスト変更コマンドを実行するとすぐに、論理的な変更が回路図に反映されます。次の図に、LUT1 を基準セルとして使用して作成されたセルの例を示します。

図 1. LUT1 を基準セルとして使用して作成されたセル

LUT1 の出力を OBUF に接続すると、この変更が回路図に反映され、ECO_INV/O の出力ピンが「n/c」 (未接続) ではなくなります。次の図に、これを実行した後の [Schematic] ウィンドウを示します。

図 2. LUT1 を OBUF に接続した後の [Schematic] ウィンドウ