プロジェクト モードでのインクリメンタル インプリメンテーションの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語
プロジェクト モードでは、インクリメンタル コンパイル オプションを Design Runs ウィンドウおよび Settings ダイアログ ボックスの Implementation ページで設定できます。Design Runs ウィンドウでインクリメンタル コンパイル オプションを設定するには、次の手順に従います。
  1. [Design Runs]Design Runsウィンドウで 1 つの run を右クリックします。
  2. Set Incremental Implementation をクリックします。

Settingsダイアログ ボックスでインクリメンタル インプリメンテーションを設定するには、次の手順に従います。

  1. Flow Navigator で [Project Manager] → Settings をクリックします。
  2. Implementation をクリックします。
    図 1. Design Run Settings での [Implementation] の選択
  3. Incremental Implementation の隣の ボタンをクリックし、Incremental Implementation ダイアログ ボックスを表示します。

インクリメンタル フローをイネーブルにする手順は、次のとおりです。
  1. Specify design checkpoint をオンにします。
  2. 次に、指示子を設定できます。オフにすると、デフォルト値の RuntimeOptimized に設定され、その他の値は TimingClosure および Quick になります。
  3. New design checkpoint オプションをオンにしてスタティック基準チェックポイントを作成するか、Automatically use the checkpoint from the previous run オプションをオンにして新しい適切な基準チェックポイントが使用可能な場合に自動的に更新するかを選択します。また、ディレクトリを選択しておいて、プロジェクト構造外のディレクトリにチェックポイントを保存してバージョン管理がしやすくなるようにすることもできます。
次の Tcl コマンドの例は、TimingClosure 指示子を使用してスタティック チェックポイントを参照するようにインクリメンタル フローを設定するところを示しています。
set_property AUTO_INCREMENTAL_CHECKPOINT 0 [get_runs impl_1]
set_property incremental_checkpoint.directive TimingClosure [get_runs impl_1]
add_files -fileset utils_1 -norecurse ./top_routed.dcp
set_property incremental_checkpoint ./top_routed.dcp [get_runs impl_1]

次の Tcl コマンドの例は、RuntimeOptimized 指示子を使用してチェックポイントを自動的に更新するようにインクリメンタルフローを設定するところを示しています。

set_property AUTO_INCREMENTAL_CHECKPOINT 1 [get_runs <run_name>]
set_property AUTO_INCREMENTAL_CHECKPOINT.DIRECTORY <directory> [get_runs impl_1]
set_property incremental_checkpoint.directive RuntimeOptimized [get_runs impl_1]
インクリメンタル インプリメンテーションの制御の適用
  1. インプリメンテーション run を選択します。
  2. [Implementation Run Properties] の INCREMENTAL_CHECKPOINT の下で MORE_OPTIONS を使用して制御用オプションを追加します。
    図 2. [mplementation Run Properties]

現在の run に対してインクリメンタル コンパイルをディスエーブルにする (または、自動モードで基準チェックポイントを使用せずに再度開始するためにその基準をクリアにする) には、次のいずれかを実行します。

  • Incremental Implementation ダイアログ ボックスで Disable incremental compile を選択します。または
  • Tcl コンソールで次のコマンドを実行します。
    set_property AUTO_INCREMENTAL_CHECKPOINT 0 [get_runs <run_name>]
    set_property incremental_checkpoint "" [get_runs impl_1]