プロジェクト モードでのインプリメンテーションの実行 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

プロジェクト モードでは、Vivado IDE で次を実行できます。

  • 特定の合成結果およびデザイン制約を使用するインプリメンテーション run を定義。
  • 1 つのデザインに対して複数のストラテジを実行。
  • デザイン要件を満たすためインプリメンテーション ストラテジをカスタマイズ。
  • カスタマイズしたインプリメンテーション ストラテジをほかのデザインで使用するため保存。
重要: 非プロジェクト モードでは、定義済みのインプリメンテーション run およびストラテジはサポートされません。Tcl コマンドを使用して、インプリメンテーション プロセスの各段階を手動で実行する必要があります。詳細は、非プロジェクト モードでのインプリメンテーションの実行 を参照してください。