プロジェクト モードと非プロジェクト モード - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

Vivado Design Suite では、インプリメンテーションをプロジェクト ファイルを使用して (プロジェクト モード)、またはプロジェクト ファイルを使用せずに (非プロジェクト モード) 実行できます。