ロジック保護 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

Vivado Design Suite では、ロジック最適化中に DONT_TOUCH プロパティが認識され、このプロパティが設定されているネットまたはセルは削除されません。ネット選択プロセスを高速化するため、DONT_TOUCH プロパティが設定されたネットはあらかじめ除外され、物理最適化することは考慮されません。詳細は、 『Vivado Design Suite ユーザー ガイド: 合成』 (UG901) の「合成属性」セクションを参照してください。

DONT_TOUCH は通常、最下位セルに設定し、最適化で削除されないようにします。階層セルに DONT_TOUCH を設定するとセルの境界は保持されますが、セル内では最適化が実行される可能性はあり、制約がその境界を超えて伝搬される可能性はあります。階層ネットを保持するには、get_nets-segments オプションを使用して、すべてのネット セグメントに DONT_TOUCH プロパティを適用します。

MARK_DEBUG プロパティの値が TRUE であるネットには、DONT_TOUCH プロパティの値が自動的に TRUE に設定されます。これにより、インプリメンテーション フロー中にネットは変更されなくなり、どのデザイン段階でもネットをプローブできます。MARK_DEBUG は、このように使用することをお勧めします。ただし、DONT_TOUCH は制限的すぎ、定数の伝搬、スイープ、リマップなどの最適化が実行されなくなり、タイミング クロージャを達成するのが困難になることがまれにあります。その場合は、MARK_DEBUG を TRUE に設定したまま、DONT_TOUCH の値 FALSE に設定できます。ただし、MARK_DEBUG を設定したネットが最適化で削除され、プローブされなくなる可能性があります。