自動パイプライン インプリメンテーション結果の確認 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

place_design のフロアプラン段階で、Vivado ログ ファイルに次の表が生成されます。

Summary of Latency Increase due to Auto-Pipeline Insertion
各グループに挿入されたパイプライン段数を示します。
Summary of Physical Synthesis Optimizations
挿入されたパイプライン レジスタの総数と、最適化された自動パイプライン グループの数 (最適化されたセル/ネット) を示します。

次の図に、[Summary of Latency Increase Due to Auto-Pipeline Insertion] の表の例を示します。

図 1. [Summary of Latency Increase Due to Auto-Pipeline Insertion] の表の例

次の図に、[Summary of Physical Synthesis Optimizations] の表の例を示します。

図 2. [Summary of Physical Synthesis Optimizations] の表の例

挿入されたパイプライン レジスタは、名前に基づいて次のように取得できます。

<origCellName>_psap and <origCellName>_psap_<N>
図 3. Pipeline2SRL の物理合計最適化の概要

次の図に、place_design の実行中に自動的にパイプラインが 9 段挿入された SLR2 から SLR0 へのパスを示します。

図 4. 自動パイプライン挿入されたレジスタの回路図

次の図に、同じ例の [Device] ウィンドウを示します。

図 5. 自動パイプライン挿入されたレジスタの [Device] ウィンドウ