自動モード - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

自動インクリメンタル インプリメンテーションは、インクリメンタル インプリメンテーションのコンパイル時間の短縮を可能にし、WNS などの QoR (結果の品質) に影響を与えないように設計されています。完全なインクリメンタル フローのサブセットで、パフォーマンスが低下しないようにより厳密な制御を使用しています。自動モードは次の基準に従って動作します。

  1. WNS が >=-0.250 ns の場合にのみ、基準チェックポイントをアップデートします。これは、プロジェクト モードでのみ積極的に管理されます。非プロジェクト フローでは、次に示すスクリプトに従う必要があります。
  2. read_checkpoint -incremental 実行時に、WNS と再利用についてより高い目標を設定します。
    • 94% のセルが一致
    • 90% のネットが一致
    • WNS >= -0.250 ns

このフローは、次のコマンドでアクティベートされます。

read_checkpoint -incremental -auto_incremental <reference>.dcp

チェックポイントをアップデートする際は、次のスクリプトを実行して WNS が許容範囲を下回らないよう確認してください。

if {[get_property SLACK [get_timing_path]] > -0.250} { 
file copy -force <postroute>.dcp <reference>.dcp
}