説明 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

選択したインプリメンテーション ストラテジの説明を表示します。

オプション

Vivado インプリメンテーション プロセスの各段階のコマンド ライン オプションを表示します。

  • デザインの最適化 (opt_design)
  • デザインの消費電力最適化 (power_opt_design) (オプション)
  • デザインの配置 (place_design)
  • 配置後のデザインの消費電力最適化 (power_opt_design) (オプション)
  • 配置後のデザインの物理最適化 (phys_opt_design) (オプション)
  • デザインの配線 (route_design)
  • 配線後のデザインの物理最適化 (phys_opt_design) (オプション)
  • ビットストリームの生成 (write_bitstream)

コマンド オプションをクリックすると、その説明がダイアログ ボックスの下部に表示されます。