配線のサンプル スクリプト 3 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語
# get nets of the top 10 setup-critical paths
set preRoutes [get_nets -of [get_timing_paths -max_paths 10]]

# get nets of the top 10 hold-critical paths
lappend preRoutes [get_nets -of [get_timing_paths -hold -max_paths 10]]

# route $preRoutes based on timing constraints 
route_design -nets [get_nets $preRoutes] -auto_delay

# preserve the routing for $preRoutes and continue with the rest of the design 
route_design -preserve

このサンプル スクリプトでは、サンプル スクリプト 2 と同様に、まずいくつかのクリティカル ネットを配線してその後デザイン全体を配線していますが、-auto_delay オプションではなく -delay オプションを使用している点が異なります。クリティカル ネットのタイミング ドリブン配線が実行され、精度は上がりますが実行時間が長くなります。これは、ネットがセットアップ クリティカル パスとホールド クリティカル パスの両方に関連しており、セットアップとホールドの両方の要件を満たすために配線を特定の遅延範囲内にする必要がある場合に特に有益です。