配線のサンプル スクリプト 4 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語
route_design
# Unroute all the nets in u0/u1, and route the critical nets first 
route_design -unroute [get_nets u0/u1/*]
route_design -delay -nets [get_nets $myCritNets] 
route_design -preserve

このサンプル スクリプトでは、密集によるタイミング エラーを解決する方法の 1 つを示しています。$myCritNets で表されたクリティカル ネットには、インスタンス u0/u1 のネットと同じデバイス領域の配線リソースが必要です。u0/u1 のネットはタイミング クリティカルではないので配線を解除し、クリティカル ネット $myCritNets が最初に最小の遅延で配線されるようにしています。その後、route_design -preserve コマンドを使用してデザイン全体を配線しています。-preserve オプションにより、$myCritNets の配線が保持され、配線解除された u0/u1 ネットは配線し直されます。表 1 は、例に含まれるコマンドをまとめたものです。