非プロジェクト モード - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

Vivado ツールでは、プロジェクト ファイルおよびローカル ディレクトリを作成せずに、メモリ内のデザインで作業することも可能です。プロジェクト ファイルを使用しないコンパイル形式のフローは、非プロジェクト モードと呼ばれます。ソース ファイルおよびデザイン制約は、現在の場所からメモリに読み込みます。インメモリ デザインでデザイン フローを実行する際、中間ファイルが記述されることはありません。

非プロジェクト モードでは、各インプリメンテーション Tcl コマンドを適切なオプションと共に使用し、各段階を個別に実行する必要があります。

変更を保存したり段階を再実行したりする必要なく、デザインに変更を加え、デザイン フローを進めていくことができます。デザイン フローのどの段階でも、レポートを生成したり、デザイン チェックポイント (.dcp) を保存したりできます。

重要: 非プロジェクト モードでは、Vivado デザイン ツールを終了すると、インメモリ デザインは失われます。そのため、AMDでは、合成、配置、配線などの主な段階が終了したら、デザイン チェックポイントを保存することをお勧めします。

デザイン チェックポイントは、プロジェクト モードおよび非プロジェクト モード両方で保存できます。デザイン チェックポイントを開くことができるのは、非プロジェクト モードのみです。