非プロジェクト モードでのインプリメンテーションの実行 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

非プロジェクト モードで合成済みデザインまたはネットリストをターゲットのAMD デバイスにインプリメントするには、インプリメンテーションのサブプロセスに対応する Tcl コマンドを実行する必要があります。

デザインの最適化 (opt_design)
ターゲットのAMD デバイスにフィットしやすいように論理デザインを最適化します。
デザインの消費電力最適化 (power_opt_design) (オプション)
ターゲットのAMD デバイスの消費電力を削減するようデザイン エレメントを最適化します。
デザインの配置 (place_design)
デザインをターゲットAMD デバイスに配置し、タイミングを向上するためロジックを複製します。
配置後のデザインの消費電力最適化 (power_opt_design) (オプション)
配置後に消費電力を削減するよう追加の最適化を実行します。
配置後のデザインの物理最適化 (phys_opt_design) (オプション)
配置に基づく見積もりタイミングを使用してロジックおよび配置を最適化します。ファンアウトの大きいドライバーの複製も含まれます。
デザインの配線 (route_design)
デザインをターゲット AMD デバイスに配線します。
配線後のデザインの物理最適化 (phys_opt_design) (オプション)
配線後の実際の遅延を使用して、ロジック、配置、および配線を最適化します。
ビットストリームの生成 (write_bitstream)
AMD デバイス コンフィギュレーションのビットストリームを生成します (AMD Versal™ アダプティブ SoC 以外)。通常、インプリメンテーションの後にビットストリームを生成します。
デバイス イメージの生成 (write_device_image)
Versal デバイスをプログラムするためのプログラマブル デバイス イメージを生成します。

ビットストリームまたはデバイス イメージの生成の詳細は、 『Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ』 (UG908) の「ビットストリーム生成」セクションを参照してください。

これらのプロセスは、まとめて「インプリメンテーション」と呼ばれます。コマンドは、次のいずれかの方法で実行します。

  • AMD Vivado™ IDE の Tcl コンソールから。
  • Vivado Design Suite Tcl シェルの Tcl プロンプトから。
  • インプリメンテーション コマンドを含む Tcl スクリプトを Vivado Design Suite で実行。