[Bus Skew Report Summary] セクション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

Bus Skew Report Summary セクションには、デザインで定義されている set_bus_skew 制約がすべてレポートされます。各制約に関して次の情報が示されます。

Id
レポート内で後で使用される制約 ID。この ID で検索すると、制約をレポート内で探しやすくなります。
From
set_bus_skew -from オプションで指定されたパターン。
To
set_bus_skew -to オプションで指定されたパターン。
Corner
ワースト バス スキューの計算に使用されたコーナー (Slow または Fast)。
Requirement
バス スキューのターゲット値。
Actual
この制約が適用されるすべてのパスで計算されたワースト バス スキュー。
Slack
ワースト バス スキューと制約要件との差。

次の例では、デザインに要件が 1 ns のバス スキュー制約が 1 つだけ設定されています。この制約が適用されているすべてのパスのワースト スキューは 1.107 ns です。



注記: バス スキュー違反 (WBSS) は、非同期バスの異なるビット間に見積もりを超えるスキューがあることを示します。見積もりを超えるスキューがあると、バス上の誤ったデータがデスティネーション クロック ドメインに取り込まれる可能性があります。その場合、バスの異なるビットが、異なるクロック サイクルでソース クロック ドメイン送信されたステートを示していることがあります。配線後に 1 つ以上の WBSS 違反が残っている場合は、別の配線または配置指示子を試してみてください。ハードウェアを安定させるには、デザインにバス スキュー違反が残っていないことを確認することをお勧めします。