[Exceptions] セクション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

このセクションからは、各のタイミング例外の詳細な表にアクセスできます。タイミング例外ごとにカテゴリがあり、カテゴリには [Summary] 表からのリンクが含まれます。詳細な表の形式は、[Coverage] オプションが GUI で選択されているかどうかによって異なります。

次は、[Coverage] オプションを選択しなかった場合の詳細な表の例です。

図 1. [Report Exceptions] ダイアログ ボックス: [Coverage] オプションを選択しなかった場合の詳細な表

[Position] 列のタイミング制約の位置番号は、Timing Constraints Editor (TCE) でレポートされる位置番号と同じです。行をダブルクリックすると、TCE 内で選択した制約にジャンプします。または、行を右クリックして [View Constraint] をポップアップ メニューから選択します。

図 2. [Report Exceptions] のコンテキスト メニュー

次の図は、Timing Constraint Editor (TCE) 内で制約が選択されたところを示しています。

図 3. Timing Constraint Editor 内のタイミング例外

[From]、[Through]、および [To] 列には、タイミング例外を定義するのに使用する元のパターンがレポートされます。同じパターンは、TCE 内の制約位置番号からも確認できます。

次の図は、[Report Exception] の GUI 内で [Coverage] オプションを選択した場合の詳細な表の例を示しています。

図 4. [Report Exceptions] ダイアログ ボックス: [Coverage] オプションを選択した場合の詳細な表

[Position] 列には、上記のようにタイミング制約の位置番号が表示されます。

[Coverage] オプションを選択した場合、[From]、[Through]、および [To] 列にはタイミング制約でターゲットにされたデザイン オブジェクトへのリンクが含まれます。オブジェクトは、セル、ネット、ピン、ポート、またはクロックになります。青いリンクをクリックすると、オブジェクトを選択できます。オブジェクトを選択して F4 を押すと、回路図を開くことできます。また、カバレッジ情報には、カバレッジ パーセントを示す [From (%)]、[To (%)]、および [Through (%)] 列が追加されます。

[Status] 列には、無効な終点、部分的に上書きされるパス、存在しないパス、または完全な上書きなどの制約のステータスがレポートされます。同じステータスは report_exception をコマンド ラインで実行してもレポートされます。

  • [Non-existent path]: 例外が無効であると考慮されます (タイミング解析に影響なし)。
  • [Totally overridden]: 例外が無効であると考慮されます (タイミング解析に影響なし)。
    注記: カバレッジは、[From]、[Through]、および [To] の順に計算されます。レベル用に計算されるカバレッジは、前のレベルによって異なります。計算されるカバレッジが指定したレベルで 0% の場合、その下位のすべてのレベルは 0% を継承します。
    注記: 0% カバレッジの制約は、タイミング解析に影響しないので、無効と考えることができます。
    注記: VCC/GND に接続されたピンは、無効なピンとしてレポートされます。

[Clock Groups] は -from-through、および -to を使用して定義されないので、詳細な表が異なります。

図 5. クロック グループの詳細な表

クロック グループには複数のグループが含まれていて、各グループに複数のクロックが含まれる場合、クロック ペアの可能性のある組み合わせすべてで 1 行に 1 クロックのペアが含まれます。この場合、制約は複数の行にまたがっており、各行に同じ制約位置番号が示されます。

上記のデザインの制約位置番号 443 は、次のように定義されます。

set_clock_groups -physically_exclusive -group RFSCLK -group LB_MD1SFSCLK

RFSCLK クロックから LB_MD1SFSCLK クロックまで、LB_MD1SFSCLK クロックから RFSCLK クロックまでにタイミング パスがいくつかある場合、制約が 2 行にまたがります。