[Ignored Objects] セクション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

このセクションには、制約タイプ別に無視された始点と終点がレポートされます。これは Tcl コンソールから report_exceptions -ignored_objects を実行するのと同じことです。

図 1. [Report Exceptions] ダイアログ ボックス: [Ignored Objects] セクション

[Position] 列のタイミング制約の位置番号は、TCE でレポートされる位置番号と同じです。行をダブルクリックすると、TCE 内で選択した制約にジャンプします。または、行を右クリックして [View Constraint] をポップアップ メニューから選択します。

[Ignored Startpoints] および [Ignored Endpoints] 列には、無視されたピンがレポートされます。ピンは、-from および -to パターンのどちらに指定されるかによって、有効な始点または終点でない場合は無視されます。制約は、レポートされるピン数によって複数行にまたがることができます。リンクを使用してデザイン オブジェクトを選択してください。選択したら、プロパティを [Property] ページで確認できるようになり、F4 キーを押すと回路図をが表示されます。