QoR 推奨項目レポートの生成 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

AMD Vivado™ IDE で report_qor_suggestions コマンドを使用するには、ReportsReport QoR Suggestions をクリックします。

図 1. Report QoR Suggestions ダイアログ ボックス

Tcl コンソールでの同等のコマンドは、次のとおりです。

report_qor_suggestions -name qor_suggestions_1
タイミング パスの制限数をデフォルトの 100 から変更するには、このダイアログ ボックスの Number of paths for suggestion analysis を変更します。これにより推奨項目数は増加しますが、最適化されていない可能性のあるタイミング パスに設定されます。同等の Tcl コマンド ライン オプションは次のとおりです。
-max_paths <N>
生成される ML ストラテジの数を変更するには、ダイアログ ボックスの Maximum Number of Strategies to suggest を変更します。同等の Tcl コマンド ライン オプションは次のとおりです。
-max_strategies <N>
しきい値条件に違反しない推奨項目をレポートするよう解析を拡張するには、Report all suggestions チェック ボックスをオンにします。動作は次のようになります。
タイミングの推奨項目
タイミングが満たされているかどうかに関係なく、タイミング パスの推奨項目を表示します。
使用率の推奨項目
クリティカルでないリソースに関する推奨項目を表示します。
密集の推奨項目
配線後にタイミングが満たされている推奨項目を表示します。
同等の Tcl コマンド ライン オプションは次のとおりです。
-report_all_suggestions
推奨項目に関連付けられているタイミングを満たしていないパスを含むサポート CSV ファイルを生成するには、このチェック ボックスをオンにしてディレクトリを指定します。CSV ファイルでは、テキスト レポートの表よりもタイミング パスを簡単にナビゲートできます。DONT_TOUCH レポートを含む 2 つ目のファイルも生成されます。同等の Tcl コマンド ライン オプションは次のとおりです。
-csv_output_dir <directory>
注記: DONT_TOUCH プロパティはツールでパスが最適化されないようにするためのプロパティで、ほかのプロパティを使用したときに Vivado で自動的に追加されることがあります。DONT_TOUCH プロパティを削除する際には注意が必要です。たとえば、DFX フローでは、スタティック モジュールとリコンフィギャラブル モジュール間の境界を越える最適化が実行されないようにするために DONT_TOUCH が使用されます。これに対し、MARK_DEBUG により追加された DONT_TOUCH プロパティは、フローには重要ではありませんが、信号が最適化で削除されると、ハードウェアのプローブにその信号は使用できません。