QoR 評価レポートの生成 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

report_qor_assessment コマンドは、Vivado IDE で開いているデザインで Reports > Report QoR Assessment をクリックするとアクセスできます。

図 1. Report QoR Assessment ダイアログ ボックス

Tcl コンソールでの同等のコマンドは、次のとおりです。

report_qor_assessment -name report_qor_assessment

タイミング パスの制限数をデフォルトの 100 から変更するには、このダイアログ ボックスの Number of paths for suggestion analysis を変更します。同等の Tcl オプションは -max_paths <N> (N は整数) です。

report_qor_assessment -max_paths <N>
Report passing metrics をオンにすると、チェックされて問題が検出されなかったすべてのメトリクスが詳細表に表示されます。同等の Tcl オプションは -full_assessment_details です。
report_qor_assessment -full_assessment_details

[Export timing paths for assessment analysis] をオンにして CSV ファイルを生成すると、テキスト レポートと比較して、大量のデータをナビゲートするのに便利です。サポートする CSV ファイルを生成するには、チェック ボックスをオンにしてディレクトリを指定します。CSV ファイルは、次の操作に使用できます。

  • RQA スコアに影響するタイミング パスを示し、それらに対処する推奨項目があるかどうかを確認します。
  • 最適化を妨げる可能性がある DONT_TOUCH プロパティを含むセルとネットを表示します。

同等の Tcl オプションは -csv_output_dir です。

report_qor_assessment -csv_output_dir <directory>